试题一(共20分)阅读下列说明,回答问题1至问题3,将解答填入答题纸的对应栏内。【说明】逻辑覆盖法是设计白盒测试用例的主要方法之一,通过对程序逻辑结构的遍历实现程序的覆盖。针对以下由C语言编写的程序,按要求回答问题。int XOR(char * filename, unsigned long key){FILE* input = NULL,*output = NULL; //1char *outfilename = NULL;int len = strlen(filename);unsigned charbuffer;if( (filename[len-2] == ‘.’) (filename[len-l]== ‘c’)){ //2,3outfilename = new char[len+l]; //4strcpy(outfilename,filename); outfilename[len-2] = ‘\0’;}else{ //5outfilename = new char[len+5];strcpy(outfilename , filename);strncat(outfilename,”.c”,2);}input = fopen(filename,”rb”);if( input = NULL){ //6coutError opening file filenameendl ; //7delete [] outfilename;outfilename = NULL;return 1;}output = fopen(outfilename,”wbf”);if( utput =NULL) { //8coutError creating output file ” outfilename endl; //9delete []outfilename;outfilename =NULL;return 1;}while(! feof(input) ){ //10if( fread(buffer,sizeof(unsigned char), 1,input) != 1 ){ //11if( ! feof(input)){ //12delete [] outfilename; //13outfllename = NULL;fclose(input);fclose(output);return 1;}}else{ //14buffer A= key;fwrite(buffer,sizeof(unsigned char), 1,output);}}fclose(input);fclose(output);delete []outfllename;return 0;}【问题1】(6分)请给出满足100%DC (判定覆盖)所需的逻辑条件。【问题2】(10分)请画出上述程序的控制流图,并计算其控制流图的环路复杂度V(G)。【问题3】(4分)请给出问题2中控制流图的线性无关路径。

试题一(共20分)

阅读下列说明,回答问题1至问题3,将解答填入答题纸的对应栏内。

【说明】

逻辑覆盖法是设计白盒测试用例的主要方法之一,通过对程序逻辑结构的遍历实现程序的覆盖。针对以下由C语言编写的程序,按要求回答问题。

int XOR(char * filename, unsigned long key){

FILE* input = NULL,*output = NULL; //1

char *outfilename = NULL;

int len = strlen(filename);

unsigned charbuffer;

if( (filename[len-2] == ‘.’) && (filename[len-l]== ‘c’)){ //2,3

outfilename = new char[len+l]; //4

strcpy(outfilename,filename); outfilename[len-2] = ‘\0’;

}

else{ //5

outfilename = new char[len+5];

strcpy(outfilename , filename);

strncat(outfilename,”.c”,2);

}

input = fopen(filename,”rb”);

if( input = NULL){ //6

cout<<"Error opening file " << filename<<endl ; //7

delete [] outfilename;

outfilename = NULL;

return 1;

}

output = fopen(outfilename,”&39;wbf”);

if( utput =NULL) { //8

cout<<"Error creating output file ”<< outfilename <<endl; //9

delete []outfilename;

outfilename =NULL;

return 1;

}

while(! feof(input) ){ //10

if( fread(&buffer,sizeof(unsigned char), 1,input) != 1 ){ //11

if( ! feof(input)){ //12

delete [] outfilename; //13

outfllename = NULL;

fclose(input);

fclose(output);

return 1;

}

}

else{ //14

buffer A= key;

fwrite(&buffer,sizeof(unsigned char), 1,output);

}

}

fclose(input);

fclose(output);

delete []outfllename;

return 0;

}

【问题1】(6分)

请给出满足100%DC (判定覆盖)所需的逻辑条件。

【问题2】(10分)

请画出上述程序的控制流图,并计算其控制流图的环路复杂度V(G)。

【问题3】(4分)

请给出问题2中控制流图的线性无关路径。


相关考题:

试题二(共15 分)阅读以下说明,回答问题1至问题5,将解答填入答题纸对应的解答栏内。【说明】在Linux服务器中,inetd/xinetd是Linux系统中一个重要服务。【问题1】(2 分)下面选项中 (1) 是xinetd的功能。(1)备选答案:A. 网络服务的守护进程B. 定时任务的守护进程C. 负责配置网络接口D. 负责启动网卡

阅读下列说明,回答问题1至问题4,将解答填入答题纸的对应栏内。[说明]下面是用小王用Dreamweaver MX编写的一个网页,如图12-2所示。从这个图片上,我们可以看出小王使用了Dreamweaver MX的哪些功能?

阅读下面的说明,回答问题1~问题4,将解答填入答题纸对应的解答栏内。[说明]阅读以下说明,回答问题1~问题4,将解答填入答题纸对应的解答栏内。windows Server 2003是一个多任务多用户的操作系统,能够以集中或分布的方式实现各种应用服务器角色,是目前应用比较广的操作系统之一。Windows内置许多应用服务功能,将下表中(1)~(5)处空缺的服务器名称填写在答题纸对应的解答栏内。(1)

试题一(共15分)阅读以下说明,回答问题1至问题5,将解答填入答题纸对应的解答栏内。【说明】某网吧拓扑结构如图 1-1 所示,可提供影视、游戏竞技、视频聊天等多种服务,采用VLAN 划分来区分不同的服务。问题 1】(2分)网吧要求实现千兆接入,可采用的方式为 (1) 。(1)备选答案:A. FTTxB. ADSLC. PSTN拨号

阅读下列说明,回答问题1至问题2,将解答填入答题纸的对应栏内。[说明]如图10-4所示是电子商务系统平台结构示意图。请把空缺的地方填写完整。

阅读下列说明,回答问题1至问题2,将解答填入答题纸的对应栏内。[说明]电子商务系统的外部社会环境如图10-5所示。请把空缺的地方填写完整。

阅读下列说明,回答问题1至问题3,将解答填入答题纸的对应栏内。【说明】逻辑覆盖法是设计白盒测试用例的主要方法之一,通过对程序逻辑结构的遍历实现程序的覆盖。针对以下由C语言编写的程序,按要求回答问题。【问题1] (6分)请给出满足100%DC(判定覆盖)所需的逻辑条件。【问题2] (10分)请画出上述程序的控制流图,并计算其控制流图的环路复杂度V(G)。【问题3](4分)请给出问题2中控制流图的线性无关路径。

阅读下列说明,补充(1)-(9),将解答填入答题纸的对应栏内。

阅读下列程序,回答问题1至问题3,将解答填入答题纸的对应栏内。【说明】逻辑覆盖法是设计白盒测试用例的主要方法之一,它是通过对程序逻辑结构的遍历实现程序的覆盖。针对以下由C 语言编写的程序,按要求回答问题。main( ){int i,n; //1for(i=1;i

阅读以下说明,回答问题1至问题4,将解答填入答题纸对应的解答栏内。【说明】某企业网络拓扑如图1-1所示,A~E是网络设备的编号。