单选题IEEE制定的生成树协议标准是(  )。AIEEE 802.1BBIEEE 802.1DCIEEE 802.1QDIEEE 802.1X

单选题
IEEE制定的生成树协议标准是(  )。
A

IEEE 802.1B

B

IEEE 802.1D

C

IEEE 802.1Q

D

IEEE 802.1X


参考解析

解析:
IEEE 802.1D是当前流行的STP(生成树协议)标准。IEEE 802.1a定义局域网体系结构;IEEE 802.1b定义网际互连,网络管理及寻址;IEEE 802.1d定义生成树协议;IEEE 802.1p定义优先级队列;IEEE 802.1q定义VLAN标记协议;IEEE 802.1s定义多生成树协议;IEEE 802.1w定义快速生成树协议;IEEE 802.1x定义局域网安全认证。

相关考题:

IEEE针对WLAN制订的协议标准是() A.IEEE802.11B.IEEE802.12C.IEEE802.15D.IEEE802.16

IEEE针对万兆以太网制订的协议标准是() A.IEEE802.3aeB.IEEE802.3zC.IEEE802.3uD.IEEE802.3

下面哪项协议标准是为了适应wlan在欧洲市场的推广而提出的标准() A.IEEE802.11hB.IEEE802.11iC.IEEE802.11kD.IEEE802.11s

城域网使用的标准是( ) A.IEEE802.5B.IEEE802.6C.IEEE802.7D.IEEE802.8

IEEE组织制定了什么标准,规范了跨交换机实现VLAN的方法()。 A、ISLB、VTPC、802.1QD、802.1X

( 14 ) IEEE 针对无线局域网制定的协议标准是A) IEEE 802.3B) IEEE 802.11C) IEEE 802.15D) IEEE 802.16

ieee最初制定的无线局域网标准是ieee802.1。()

下列不属于生成树协议目前常见版本的是______。A.STP生成树协议(IEEE 802.1D)B.RSTP快速生成树协议(IEEE 802.1W)C.MSTP多生成树协议(IEEE 802.1S)D.VSTP超生成树协议(IEEE 802.1K)

IEEE制定的生成树协议标准是( )。A.IEEE 802.1BB.IEEE 802.1DC.IEEE 802.1QD.IEEE 802.1X

在下面的标准中,定义快速生成树协议的是__(26)__,支持端口认证的协议是__(27)__。A.IEEE802.1dB.IEEE802.1wC.IEEE802.1sD.IEEE802.1x

定义RSTP(快速生成树)的是()。 A.IEEE 802.1qB.IEEE 802.1dC.IEEE 802.1wD.IEEE 802.3

以下协议标准中,工作频段是5GHz的协议标准是()。 A IEEE 802.11B IEEE 802.11aC IEEE 802.11bD IEEE 802.11g

IEEE制定的生成树协议标准是( )。A.IEEE802.1BB.IEEE802.1DC.IEEE802.1QD.IEEE802.1X

IEEE针对无线局域网制订的协议标准是( )。A) IEEE 802.3B) IEEE 802.11C) IEEE 802.15D) IEEE 802.16

IEEE针对无线局域网制订的协议标准是( )。A.IEEE802.3B.IEEE802.11C.IEEE802.15 D.IEEE802.16

千兆以太网的协议标准是A)IEEE802.3zB)IEEE802.3wC)IEEE802.3uD)IEEE802.3a

IEEE针对无线局域网制定的协议标准是( )。A.IEEE802.3B.IEEE802.11C.IEEE802.15D.IEEE802.16

IEEE制定实现Tag VLAN使用的是下列()标准?A、 IEEE 802.1wB、 IEEE 802.3adC、 IEEE 802.1qD、 IEEE 802.1x

生成树协议的国际标准是哪个()A、IEEE802.2B、IEEE802.2SNAPC、IEEE802.1DD、IEEE803.3

TokenRing介质访问控制方法遵循的标准是()。(网络协议)A、IEEE802.3B、IEEE802.4C、IEEE802.5D、IEEE802.6

VLAN的封装类型中属于IEEE标准的有?()A、ISLB、802.1dC、802.1qD、hdlcE、802.1x

IEEE制定实现Tag VLAN使用的是下列哪个标准?()A、IEEE 802.3ADB、IEEE 802.1WC、IEEE 802.1QD、IEEE 802.1X

IEEE802委员会定义的快速以太网的协议标准是()。A、IEEE802.2zB、IEEE802.3aC、IEEE802.3D、IEEE802.3u

IEEE于1999年颁布了用以标准化VLAN实现方案的()协议标准草案。A、802.1BB、802.1DC、802.1PD、802.1Q

IEEE针对万兆以太网制订的协议标准是()A、IEEE802.3aeB、IEEE802.3zC、IEEE802.3uD、IEEE802.3

在下面的标准中,定义快速生成树协议的是(26),支持端口认证的协议是(27)。A、IEEE802.1dB、IEEE802.1wC、IEEE802.1sD、IEEE802.1x

单选题IEEE制定的生成树协议标准是(  )。AIEEE802.1DBIEEE802.1BCIEEE802.1QDIEEE802.1X

单选题IEEE制定的生成树协议标准是(  )。AIEEE 802.1BBIEEE 802.1DCIEEE 802.1QDIEEE 802.1X