某移位型计数器中移位寄存器触发器级数为n,则组成的环形计数器和扭环形计数器的进位模数依次为( )。A.n,2nB.n,nC.2n,nD.2n,2n

某移位型计数器中移位寄存器触发器级数为n,则组成的环形计数器和扭环形计数器的进位模数依次为( )。

A.n,2n
B.n,n
C.2n,n
D.2n,2n

参考解析

解析:本题考查时序逻辑电路中计数器的基础知识。移位型计数器是由触发器组成的计数器,一般包括环形计数器和扭环形计数器两种,环形计数器是由移位寄存器加上一定的反馈电路构成的,它是由一个移位寄存器和一个组合反馈逻辑电路闭环构成,反馈电路的输出接向移位寄存器的串行输入端,反馈电路的输入端根据移位寄存器计数器类型的不同,可接向移位寄存器的串行输出端或某些触发器的输出端。环形计数器的计数长度为N=n,和二进制计数器相比,它有2n-n个状态没有利用。扭环形计数器相对于环形计数器,提高了电路状态的利用率,n个触发器组成的扭环形计数器的模数是2n,有效状态比环形计数器状态多了1倍。

相关考题:

●移位型计数器中有两种常用计数器,即环形计数器和扭环形计数器,其中扭环形计数器中,如果触发器级数为n,则该计数器的进位模为 (30) 。(30) A.n/2B.nC.2nD.n2

由n位触发器构成的扭环形计数器,其无关状态数有(24)个。A.2n-nB.2nC.2n-2nD.2n-1

某时序电路的状态图如题图所示,则其为下列哪种电路?(  ) A. 五进制计数器 B. 六进制计数器 C. 环形计数器 D. 移位寄存器

n位寄存器组成的环形移位寄存器可以构成下列哪种计数器?(A)n (B)2n(C)4n (D)无法确定

n位寄存器组成的环形移位寄存器可以构成(  )位计数器。

扭环形计数器与环形计数器比较下面说法正确的是()A、扭环形计数器与环形计数器比较电路上需要增加译码电路B、扭环形计数器与环形计数器比较电路相对变得简单C、扭环形计数器与环形计数器比较增加了电路的有效状态D、扭环形计数器与环形计数器比较减少了电路的有效状态E、相同位数扭环形计数器与环形计数器比较有效状态减少了一倍

集成移位寄存器可实现环形计数器的功能。

扭环形计数器中,其反馈到移位寄存器的串行输入端Dn-1的信号不是取自Q0,而是取自Q0。

用D触发器可以组成()A、加法计数器B、减法计数器C、移位寄存器D、多谐振荡器E、施密特触发器

环形计数器的特点是()。 A、环形计数器的有效循环中,每个状态只含一个1或0B、环形计数器的有效循环中,每个状态只含一个1C、环形计数器的有效循环中,每个状态只含一个0D、环形计数器中,反馈到移位寄存器的串行输入端Dn-1的信号是取自Q0E、环形计数器中,反馈到移位寄存器的串行输入端Dn的信号是取自Q0

扭环形计数器的特点是()。A、在扭环形计数器的有效循环中,只有一个触发器改变状态,所以不存在竞争,便不会出现冒险脉冲B、在扭环形计数器的有效循环中,只有一个触发器改变状态,所以虽然不存在竞争,但会出现冒险脉冲C、扭环形计数器中,反馈到移位寄存器的串行输入端Dn-1的信号不是取自Q0D、扭环形计数器中,反馈到移位寄存器的串行输入端Dn-1的信号是取自Q0E、扭环形计数器中,反馈到移位寄存器的串行输入端Dn的信号是取自Q0

移位寄存器的逻辑功能是什么?如何用移位寄存器组成环形或扭环型计数器?

环形计数器的特点是()A、环形计数器的有效循环中,每个状态只含一个1或0B、环形计数器的有效循环中,每个状态只含一个1C、环形计数器的有效循环中,每个状态只含一个0D、环形计数器中,反馈到移位寄存器的串行输入端Dn-1的信号是取自Q1

计数器按计数工作方式分为()。A、同步计数器和异步计数器B、二进制计数器和非二进制计数器C、加法计数器和减法计数器D、扭环形计数器和环形计数器

N级环形计数器的计数长度是(),N级扭环计数器的计数长度是()

能够比较方便构成顺序脉冲信号发生器的电路是()。A、环形计数器B、扭环形计数器C、移位寄存器D、序列信号检测器

由n位寄存器组成的扭环移位寄存器可以构成()进制计数器。A、nB、2nC、4nD、6n

关于环形计数器,下面说法正确的是()。A、需要预置初值B、属于同步电路C、环形计数器就是移位寄存器D、以上叙述都正确

移位寄存器型计数器可分为环形计数器和()计数器两种。A、开环形B、右环形C、扭环形D、左环形

把移位寄存器最高位的输出Q3端接到最低位的输入端D0端,便能组成一个4位的扭环形计数器。

扭环形计数器的特点是()A、在扭环形计数器的有效循环中,只有一个触发器改变状态,所以不存在竞争,便不 会出现冒险脉冲B、在扭环形计数器的有效循环中,只有一个触发器改变状态,所以虽然不存在竞争,但会出现冒险脉冲C、扭环形计数器中,反馈到移位寄存器的串行输入端Dn-1的信号是取自Q0D、扭环形计数器中,反馈到移位寄存器的串行输入端Dn的信号是取自Q0

只要将移位寄存器的最高位的输出端接至最低的输入端,即构成环形计数器。

n位环形计数器的特点是()A、环形计数器的有效循环中,每个状态只含一个1或0B、环形计数器的有效循环中,每个状态只含一个1C、环形计数器的有效循环中,每个状态只含一个0D、环形计数器中,反馈到移位寄存器的串行输入端Dn-1的信号是取自Q0E、环形计数器工作时,应避免每个状态可以都是1或都是0

扭环形计数器的特点是()A、在扭环形计数器的有效循环中,只有一个触发器改变状态,所以不存在竞争,便不会出现冒险脉冲B、在扭环形计数器的有效循环中,只有一个触发器改变状态,所以虽然不存在竞争,但会出现冒险脉冲C、扭环形计数器中,反馈到移位寄存器的串行输入端Dn-1的信号不是取自Q0D、扭环形计数器中,反馈到移位寄存器的串行输入端Dn-1的信号是取自Q0E、扭环形计数器的有效循环中,反馈到移位寄存器的串行输入端Dn-1的信号是取自Q3

关于扭环形计数器下面说法正确的是()A、三个触发器构成扭环形计数器有效状态可以达到6位B、相同位数扭环形计数器与环形计数器比较有效状态增加了一倍C、相同位数扭环形计数器与环形计数器比较有效状态增加了二倍D、相同位数扭环形计数器与环形计数器比较有效状态一样E、相同位数扭环形计数器与环形计数器比较有效状态减少了一倍

单选题能够比较方便构成顺序脉冲信号发生器的电路是()。A环形计数器B扭环形计数器C移位寄存器D序列信号检测器

单选题四位移位寄存器构成扭环形计数器是()计数器。A四进制B八进制C十六进制