完成JK触发器的VHDL描述,要求 (1) 语言逻辑无误,并编译正确。 (2) 要进行功能仿真验证自己的设计结果。

完成JK触发器的VHDL描述,要求 (1) 语言逻辑无误,并编译正确。 (2) 要进行功能仿真验证自己的设计结果。


参考答案和解析
在进程中检测到时钟clk出现上升沿后,再根据对复位reset、置位set信号的检测作出相应的处理。得到的是同步方式的复位、置位。描述程序如下, library ieee; use ieee. std_logic_1164.all; entity JKFF is port(j,k,set,reset,clk:in std_logic;q,nq:out std_logic); end JKFF; architecture watdo of JKFF is begin process(clk) variable kq:std logic: ='0'; begin if clk'event and clk = '1' then if set = '0' and reset = '1' then kq: = '0'; --同步复位 elsif set = '1' and reset = '0' then kq: = '1'; elsif j ='0' and k='1' then kq: ='0'; elsif j ='1' and k='0' then kq: ='1'; elsif j ='1' and k='1' then kq: =not kq; end if; end if; q<= kq; nq<= not kq; end process; end watdo;

相关考题:

常用的硬件描述语言有() A.VHDL、Verilog、c语言B.ABEL、c++C.VHDL、Verilog、ABELD.汇编语言、ABEL、VHDL

主从JK触发器、边沿JK触发器和同步JK触发器的逻辑功能完全相同。() 此题为判断题(对,错)。

JK触发器具有置0、置1、()和()的功能。

对于JK触发器,若J=K,则可完成()触发器的逻辑功能。A.RSB.DC.TD.Tˊ

触发器按逻辑功能可以分为RS、D、JK、T四种触发器。() 此题为判断题(对,错)。

JK触发器可完成:保持、置0、置1、翻转四种功能。()

分析图5-8所示由74161和四选一数据选择器构成的时序逻辑电路的功能,画出F的波形图.并用必要数量的JK触发器和最少数量的门电路完成该电路相同逻辑功能.

可编程逻辑器件在现代电子设计中越来越重要,请问:a) 你所知道的可编程逻辑器件有哪些? b) 试用VHDL或VERILOG、ABLE描述8位D触发器逻辑。(汉王笔试)

( 2 )以下关于编程语言的描述中,正确的是A )汇编语言是符号化的机器语言,机器可以直接执行B )为了完成编译任务,编译程序要对源程序进行扫描C )解释程序比较简单,所以解释型程序执行速度很快D )编译程序非常复杂,所以编译出的程序执行速度很慢

图示逻辑电路,输入为X、Y,同它的功能相同的是(  )。 A. 可控RS触发器 B. JK触发器 C. 基本RS触发器 D. T触发器

在利用FPGA/CPLD进行逻辑电路设计时,综合后的结果是( )。A.Verilog或VHDL等源文件B.电路级的网表文件C.仿真结果D.可烧写的编程文件

JK触发器具有()种逻辑功能。A、2B、3C、4

Verilog语言与C语言的区别,不正确的描述是()A、Verilog语言可实现并行计算,C语言只是串行计算;B、Verilog语言可以描述电路结构,C语言仅仅描述算法;C、Verilog语言源于C语言,包括它的逻辑和延迟;D、Verilog语言可以编写测试向量进行仿真和测试。

要验证JK触发器的功能,往往是先清零,然后令置“1”端和置“0”端置于()A、0,1B、1,0C、0,0D、1,1

有“置0”、“置1”功能的触发器称为()。A、 JK触发器B、 D触发器C、 T触发器

根据触发器的(),触发器可分为RS触发器、JK触发器、D触发器、T触发器等。A、电路结构B、电路结构逻辑功能C、逻辑功能D、用途

若JK触发器Q*=Q’,则输入(J,K)=()。如果用D触发器完成相同功能,则D=()

对于JK触发器,若J=K,则可完成()触发器的逻辑功能。

C语言编译系统在给出逻辑运算的结果时,用“1”表示真,而用“0”表示假,所以,若a=2,则!a的结果不能确定。()

主从JK触发器、边沿JK触发器和同步JK触发器的逻辑功能完全相同。

功能仿真是在不考虑器件()的理想情况下对设计项目的逻辑功能进行验证的方法。

对于JK触发器,若J=K,则可完成()触发器的逻辑功能。A、RSB、DC、TD、Tˊ

根据逻辑功能的不同,触发器可分为RS触发器、()。A、D触发器B、同步触发器C、JK触发器D、T和T’触发器

有“置0”、“置1”功能的触发器称为()。A、JK触发器B、D触发器C、T触发器D、T’触发器

单选题JK触发器具有()种逻辑功能。A2B3C4

问答题VHDL语言由几个设计单元组成?分别是什么?哪些部分是可以单独编译的源设计单元?

单选题要验证JK触发器的功能,往往是先清零,然后令置“1”端和置“0”端置于()A0,1B1,0C0,0D1,1

问答题为什么VHDL设计仅需要功能仿真?