以下程序产生的是占空比____的时钟信号? parameter High_time = 5,Low_time = 20; reg clk; always begin clk = 1; #High_time; clk = 0; #Low_time; EndA.20%B.10%C.40%D.50%

以下程序产生的是占空比____的时钟信号? parameter High_time = 5,Low_time = 20; reg clk; always begin clk = 1; #High_time; clk = 0; #Low_time; End

A.20%

B.10%

C.40%

D.50%


参考答案和解析
20%

相关考题:

在VHDL语言中,下列对时钟边沿检测描述中,错误的是 A.if clk’event and clk = ‘1’ thenB.if falling_edge(clk) thenC.if clk’event and clk = ‘0’ thenD.if clk’stable and not clk = ‘1’ then

8088/8086系统中的时钟发生器8284A能产生的信号包括下列的哪个信号() A、CLK信号B、RESET信号C、READY信号D、HOLD信号

8253工作在方式1下,输出负脉冲的宽度等于() A.计数初值N+1个CLK脉冲宽度B.计数初值N-1个CLK脉冲宽度C.计数初值N个CLK脉冲宽度D.计数初值(2N-1)/2个CLK脉冲宽度值

SD总线信号定义中CLK表示( )。A、时钟信号B、命令/响应信号C、双向数据传输信号D、电源和地信号

写异步D触发器的verilog module。(扬智电子笔试) module dff8(clk , reset, d, q); input clk; 写异步D触发器的verilog module。(扬智电子笔试)module dff8(clk , reset, d, q);input clk;input reset;input [7:0] d;output [7:0] q;reg [7:0] q;always @ (posedge clk or posedge reset)if(reset)q = 0;elseq = d;endmodule

判断CLK信号上升沿到达的语句是().

8253—5工作于方式1时,当门控信号上升沿到来后的()时刻,门控信号OUT变成低电平。A、CLK上升沿B、CLK下降沿C、下一个CLK上升沿D、下一个CLK下升沿

8253-5工作于方式3时,当计数值为一奇数时,则输出信号的低电平比高电平持续时间()A、少2个CLK周期B、少一个CLK周期C、多2个CLK周斯D、多一个CLK周期

8086/8088微处理器CLK引脚输入时钟信号是由8286提供的

什么是clk时钟信号?

假设某8253芯片的CLK1接1.5MHz的时钟,欲使OUT1产生频率为30kHz的方波信号,则8253的计数值应为()A、2B、20C、50D、5

8253计数器/定时器中,时钟信号CLK和门脉冲信号GATE各起什么作用?

8253工作在方式1时,输出负脉冲的宽度等于()。A、1个CLK脉冲宽度B、2个CLK脉冲宽度C、N个CLK脉冲宽度D、N/2个CLK脉冲宽度

8253定时器/数器中,在门控制信号上升沿到来后的()时刻,输出信号OUT变成低电平。A、CLK上升沿B、CLK下降沿C、下一个CLK上升沿D、下一个CLK下降沿

外部CLK2的频率是80386内部时钟信号频率的()倍。A、5B、4C、3D、2

ISA总线时钟信号CLK的最高频率为多少?

假设某8253的CLK0接1.5MHz的时钟,欲使OUT0产生频率为300kHz的方波信号,则8253的计数值应为(),应选用的工作方式是()

通常,8253-5是在时钟脉冲CLK的()时刻,采样门控信号GATE。A、上升沿B、下降沿C、高电平D、低电平

试说明8253芯片的六种工作方式。其时钟信号CLK和门控信号GATE分别起什么作用?

时钟板(CLK)的功能是什么?

8254工作于方式1时,当门控信号上升沿到来后的()时刻,输出信号OUT变成低电平。A、前一个CLK上升沿B、前一个CLK下降沿C、下一个CLK上升沿D、下一个CLK下降沿

UMG8900的时钟锁相状态包括()。A、自由:表示目前UMG8900不同步于外同步基准,也不使用频率记忆技术以维持频率的准确性,CLK板输出本板晶体自由振荡的时钟B、快捕:表示CLK板正在快速锁相参考源时钟,一般在系统刚接入参考源时处于该状态,为一个瞬间态,UMG8900刚上电后处于这种状态C、跟踪:表示CLK板此时已锁相基准参考源,其输出为根据参考源校准的时钟D、保持:当CLK板处于跟踪状态后,参考源丢失,此时CLK板锁相状态会从跟踪转入保持,表明此时CLK板以跟踪状态时保存的锁相参数输出时钟

CLK的含义为().A、数据B、时钟C、挂机

同步时序电路具有统一的时钟CLK控制。

单选题CLK的含义为().A数据B时钟C挂机

填空题假设某8253的CLK0接1.5MHz的时钟,欲使OUT0产生频率为300kHz的方波信号,则8253的计数值应为(),应选用的工作方式是()

问答题时钟板(CLK)的功能是什么?

单选题8253-5工作于方式3时,当计数值为一奇数时,则输出信号的低电平比高电平持续时间()A少2个CLK周期B少一个CLK周期C多2个CLK周斯D多一个CLK周期